m_iidas’s blog

日々学習

Zyboでbashを使ってLチカする

環境

  • Zybo Z7-10 (4.19.0-xilinx-v2019.2)

Lチカ

以下コマンドを入力する。

# cat <<EOF | \
  tee blink.sh
#!/bin/bash
echo 913 > /sys/class/gpio/export
echo out > /sys/class/gpio/gpio913/direction
LED=0
while true; do
 echo \$LED > /sys/class/gpio/gpio913/value
 sleep 1s
 LED=\$((0x01&~LED))
done
EOF
# bash blink.sh

デモ